Saturday, March 30, 2013

Altera Cyclone IV EP4CE6 Testing

As I showed in my previous post,I’m going to test my CoreEP4CE6 board. It is based on Altera Cyclone IV FPGA (EP4CE6E22C8N) . I got my Altera USB Blaster (bought from here) today and tested the FPGA .

I connected the power cable to the FPGA board and connected the JTAG cable from USB Blaster. The both devices were powered by USB. I installed Altera Quartus II Web Edition which is the default IDE for Altera FPGAs. You can download the free web edition from here

I thought to check the board by powering up a LED. I just wanted to connect the LED on PIN11 to Vcc to do this. You can follow the detailed steps on this from here

The schematic diagram:

cct.

The pin assignment (according to the schematic of the board):

pin

The hardware test (you can see the LED in bottom left is ON):

DSCF5880

1 comment:

  1. can you post the schematic for this board. Thanks. JP

    ReplyDelete